雷峰网 //www.xyschoolife.com //www.xyschoolife.com/resWeb/images/common/lp_logo.png 雷峰网 //www.xyschoolife.com 2015 www.xyschoolife.com All rights reserved. zh_cn Wed, 23 Mar 2022 22:49:31 +0800 三星4nm工艺良率仅三成?骁龙“着火”元凶或将找到 //www.xyschoolife.com/category/manufacturing/ypcCSWUOFdCQsnpf.html 据韩国媒体INFOSTOCK DAILY报道,三星公司在先进制程半导体代工业务上的良品率陷入了全面造假的丑闻,据该媒体报道,三星高管可能在试产阶段捏造了其5nm以下工艺的芯片良率以抬高三星代工业务的竞争力。

在此之前,有消息称,三星半导体代工服务最大的客户高通预计将明年即将推出的3nm制程的SoC代工订单交由台积电独家完成 ,更早时,三星已经在和台积电的竞争中失败,失去了英伟达7nm制程GPU的订单。

而英伟达和高通是三星最大的两个代工客户,失去了两家最大客户的青睐标志着三星在和台积电的竞争中已经处于全面劣势。

芯片良率被传造假,三星对代工部门开启调查

三星近期已经启动对原本计划扩大产能和保证良率的大量资金下落的调查。该调查启动的原因是三星电子怀疑之前有关三星半导体代工厂的产量和良率报告存在造假行为。

据报道,三星电子DS部门正在接受管理部门就5nm芯片工艺的良率报告是否属实的检查。管理部门下一步还将对4nm和3nm制程工艺的芯片良率报告做检查。

目前三星和台积电在先进制程上的竞争正处于白热化的阶段。在去年三星拿下了高通器件处理器骁龙8 Gen1的独家订单,还计划在2022年先于台积电实现3nm制程芯片的量产。但如今,三星可能即将失去高通这个大客户。

高通除了将明年的订单全部交由三星以外,还宣布将今年已经委托三星生产的4nm制程芯片骁龙8Gen1的一部分后续订单交给台积电生产。高通表示,这样做的原因是因为目前三星的工艺良率难以达到高通的要求。

据业内人士消息,三星生产的骁龙4nm制程芯片良率仅为35%。并且三星自研的4nm制程SoC猎户座2200的良率更低。这意味着三星生产的芯片有近七成都是废片,这不仅使得芯片成本居高不下。其工艺上的缺陷还导致了骁龙的芯片在功耗和性能上出现了问题。

高通与三星的十年“相爱相杀”

2020年,高通宣布将5nm处理器的订单全部委托三星代工。当时的高通正处于“一览众山小”的时期,是手机终端市场非苹果阵营中无可争议的“老大哥”。2020年联发科推出的高端产品天玑1000系列市场表现遇冷,对比之下,高通当年的旗舰芯片骁龙865却备受追捧。

实际上,在此之前高通和台积电、三星再次之前都有过合作关系。高通公司推出的旗舰处理器中骁龙820、骁龙821、骁龙835、骁龙845等处理器都是由三星代工生产,而骁龙855和骁龙865系列则是由台积电代工生产。在这两家代工厂生产的芯片中都有在当年口碑销量双丰收的爆款,也时有不尽人意的情况。而高通之所以在2020年宣布将下一代芯片全部交由三星生产,其中给一个重要原因就是高通对台积电“苹果优先”政策的不满。

台积电的代工业务的最大客户是苹果,早在2016年,台积电就成为了苹果A系列芯片的代工厂商。自那之后,台积电和苹果一直保持着“共生”的状态:台积电为苹果提供稳定的芯片产能和良率,苹果则为台积电提供源源不断的订单。

而由于给苹果代工的业务不论是在利润上还是在公司战略上都对台积电更为重要,台积电多年来一直奉行“苹果优先”的产能分配方案。但近年来受新冠疫情影响,全球供应链受阻引发缺芯浪潮。而台积电在继续奉行“苹果优先”政策的同时,还在集中力量解决苹果自研的Arm架构芯片M1的代工问题,这使得本就不充裕的产能更加捉襟见肘。

最终这导致了骁龙芯片产能长时间的不足,引起了高通的不满。

彼时的高通认为三星能够提供价格更低,优先级更高的代工服务,于是高通和三星站到了一起。但接下来发生的事情也许超过了高通的预料。

由三星代工的骁龙888芯片的市场表现并未达到预期使得高通的2021年过的并不顺利,三星的工艺缺陷带来的功耗问题甚至一度成为热门话题,骁龙888芯片也由于其糟糕的发热表现被戏称为“火龙芯片”。

在骁龙888“翻车”后,骁龙没有立刻抛弃三星,而是选择相信三星工艺进步的能力。高通推出的新一代器件SoC骁龙8 Gen1的生产中仍然使用了来自三星的代工服务。

但三星的表现又一次让高通失望:骁龙8 Gen1仍然没有解决在前代工艺上饱受质疑的功耗问题,并且由于三星代工较低的良率,据业内人士估算,一块骁龙8 Gen1芯片的成本价格已经接近一千人民币。

同时,高通公司的老对手联发科在今年颇有向高通发起总攻之意,联发科在高通今年发布了骁龙8 Gen1处理器后,高调发布了旗舰处理器天玑9000,并宣布将会使用台积电4nm工艺代工。由于对消费者对三星代工引发的发热问题不满已久,加之天玑9000与前代相比的巨大提升,不少曾经是高通忠实信徒的消费者已经在持币观望联发科在今年的表现。这使得高通面临着巨大的压力和挑战,最终做出了抛弃三星,转投台积电怀抱的决定。

英特尔“加速冲刺”,三星能否能够突破合围

近年来,芯片代工产业中的竞争有愈演愈烈之势。不仅是三星和台积电这对从2010年争夺苹果A系列处理器代工权的老对手的“战争”到了决战阶段,越来越多的“新人”也选择在这条赛道上踩下一脚油门。

英特尔自新任CEO Pat上任以来,围绕着其IDM2.0蓝图,大力发展代工业务IFS。在2月18日的英特尔投资人大会上,Pat信心满满的向英特尔的投资人介绍了公司未来在代工产业上的发展蓝图。根据规划,英特尔将在未来四年时间内走过五个制程节点,最早在2022年实现Intel4制程,并最早在2024年量产埃米级芯片。如果这一蓝图成真将标志着英特尔在未来几年中彻底摆脱台积电的擎肘,并在先进制程的代工业务上和台积电全面开战。

同时,国内的芯片代工产业也在这两年蓬勃发展。国内芯片代工龙头企业中芯国际日前发布的2021年财报显示,中芯国际在销售额、营收等方面数据均创历史新高。同时,中芯国际已经拥有生产14nm芯片的能力,并向着更先进制程迈进。虽然国内的芯片代工厂商目前还没有在先进制程工艺上和三星、台积电这些老牌厂商较量的能力,但作为后期之秀,在未来是否有可能给这一市场格局带来改变也犹未可知。

现代研究院(Hyundai Research Institute)顾问崔阳欧表示,“台积电正在努力确保4nm/3nm半导体制造工艺的良率。三星电子正处于激烈的竞争中,不确定性很高。”

在过去十年中,三星已经接连丢掉了苹果、英伟达、高通这些在芯片行业举足轻重的大客户的青睐。面对着行业越来越“卷”的现状,三星腹背受敌,如今更是陷入“造假”丑闻。三星需要更快的做出改变,否则这个市场留给三星的时间和信任都要不多了。雷峰网

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/ypcCSWUOFdCQsnpf.html#comments Mon, 28 Feb 2022 22:11:00 +0800
英特尔拟60亿美元收购高塔半导体,加强芯片代工能力 //www.xyschoolife.com/category/manufacturing/ZWuRrNIgKdlqpO8S.html 据华尔街日报2月15日消息,英特尔欲以近60亿美元价格收购高塔半导体。2月15日晚间,英特尔确认,根据协议将以每股53美元的现金收购Tower半导体。相关人士指出,英特尔此次收购的出发点是加强其芯片代工业务产能,以便为更多客户提供芯片代工服务。 

知情人士透露,如果谈判一切顺利,该收购案可能最早在本周通过。目前高塔半导体的市值约为36亿美元,英特尔的收购价格远远超出该公司的市值,这使得该交易包括了巨额溢价。在华尔街日报披露了该交易后,高塔半导体股票周一在盘后交易中上涨了49%。在15日晚英特尔确认收购后,高塔半导体总企业价值约为54亿美元。

本次将被英特尔收购的高塔半导体是一家在美国纳斯达克上市的以色列公司。该公司涉足汽车、消费级电子产品、医疗和工业设备等领域,以色列,日本和美国拥有加工厂,其业务范围与曾经英特尔想收购的格芯高度相似。如果该交易通过,将有利于英特尔加强其芯片代工产业的产能。

自英特尔新任CEO Pat Gelsinger于2021年公布了英特尔IDM2.0发展规划以来,确定英特尔未来仍然会在芯片制造产业持续发力以来,英特尔一直努力加强自身芯片代工能力以和台积电等代工厂商竞争。

去年7月曾一度传出英特尔将收购格芯的消息。如果该交易通过,英特尔将得到格芯专有技术和更成熟的产能。虽然最终该交易没有达成,但英特尔的决心和野心可见一斑。

而后在2022年一月英特尔披露了在俄亥俄州投资至少200亿美元建立工厂增加公司圆晶制造能力的计划,根据规划该地未来十年会建立包括八家工厂的的生产基地,支出可能达到1000亿美元左右,可以看出英特尔对自身芯片代工产业相当重视。雷峰网雷峰网雷峰网

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/ZWuRrNIgKdlqpO8S.html#comments Tue, 15 Feb 2022 10:39:00 +0800
第四季财报多项数据创历史新高,中芯国际高管这样看 //www.xyschoolife.com/category/manufacturing/0LsVrq0f8uEB0PWD.html 2022年2月10日,国内芯片行业龙头中芯国际公布了其2021年第四季度财报。财报显示,第四季度中芯国际销售额为15.8亿美元,相较于 2021 年第三季度的14.1亿美元同比增长 11.6%。第四季度利润为5.52亿美元,利润率达到了35%。全年销售额达到54亿美元,年增达到39%,均创历史新高。

据中芯国际介绍,销售额与利润率的增加主要归功于2021年第四季度其产品组合变动和芯片市场持续向好。

从中芯国际营收来看,其主营业务圆晶代工带来的收入占总收入的89.5%,该业务主要收入来自亚洲。技术上,中芯国际的高端代工业务所占比例稳中有升。其运用了FinFET工艺的28纳米代工业务在其总业务中占比18.6%,与2020年第四季度占比5%相比较有较大提升。

基于这样的情况,中芯国际对未来比较乐观,提出了在2022年第一季度环比增长15~17%,利润率达到36%~38%的目标。

中芯国际管理层对2021年的成绩评价道:“2021年是中芯发展历程中极不平凡的一年。”对于未来规划,中芯国际管理层提出,未来中芯国际会持续推进产能扩大,推进现有老厂的扩建和三个新厂项目的落地。预计20222年的产能增量会高于今年。

在过去的一年里,缺芯在全球蔓延。中芯国际抓住了机遇抢占市场缺口,在疫情和实体清单的双重限制下仍然取得了傲人的增长。在全球前四大纯晶圆代工厂中,中芯国际是近年来成长的最快的一个。

从中芯国际2021年第四季度的财报可以看出,中芯国际的发展情况良好,在全球缺芯的情况下,成功抢占了中低端线客户,获得了快速发展的机会。同时也在高端产品上发力,具有高技术门槛的28nm产品线在营业额中所占比例越来越大,在14nm等更高级产品线上,中芯国际也在加速追赶。

在未来,中芯国际仍然要面临严峻的挑战。全球新冠疫情持续肆虐,使供应链受到影响。美国实体清单限制使得中芯国际的贸易环境变得艰难。但挑战中也蕴藏着机遇,在未来,中芯国际是否能够在全球持续缺芯浪潮中找到机遇,实现逆袭,让我们拭目以待。雷峰网雷峰网雷峰网


]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/0LsVrq0f8uEB0PWD.html#comments Fri, 11 Feb 2022 19:22:00 +0800
台积电公布最新技术进展!3nm明年量产,汽车、射频芯片制程也升级 //www.xyschoolife.com/category/manufacturing/WvpN1sGDAxsDbNDc.html 本周,台积电举办了2021年技术研讨会,分享其先进逻辑技术、特殊技术、3DFabric先进封装与芯片堆叠等方面的最新进展,由于疫情尚未平复,台积电依然沿用去年的线上模式举办这次论坛。

“数字化转型为半导体行业开辟了一个充满机遇的新世界,我们的全球技术研讨会强调了我们增强和扩展技术组合的许多方法,以释放客户的创新,”台积电CEO魏哲家在大会上说道。

5nm家族添新成员,解决汽车计算需求

台积电将其领先的工艺节点分为三个产品家族:7nm、5nm和即将推出的3nn工艺节点,正如许多人在过去几年中注意到的那样,台积电自2018年推出7nm节点并实现大规模量产后,在芯片制造领域超越竞争对手取得领先地位,到今天也还是如此。

迄今为止,台积电7nm芯片出货已超过10亿颗,已经被纳入越来越成熟的工艺。且随着许多客户迁移到更先进的工艺节点,7nm产能增速放缓,预计2021年产能仅增加14%,与曾经16nm工艺系列产能进展类似。与之对应的,目前代工厂主要专注于5nm和即将推出的3nm芯片产品。台积电5nm工艺节点自2020年开始量产,为数以亿计的SoC提供动力,一方面越来越多的公司设计更多5nm产品,另一方面台积电拥有全球大约50%的EUV半导体设备,因此台积电5nm进展十分顺利,更是在此次技术研讨会上又添新成员——N5A。

台积电官方介绍,N5A工艺旨在应对当今对计算能力需求不断增加的汽车应用,例如支持AI辅助驾驶和座舱数字化,N5A将当今超级计算机中所使用的技术引入汽车,在满足AEC-Q100 2 级以及其他汽车安全和质量标准的可靠性要求的同时,满足N5的性能、功率和逻辑密度。

由于有台积电汽车设计平台的支持,N5A计划于2022年第三季度上市。

3nm明年量产,5G射频将升级到6nm

台积电也透露了其4nm和3nm的最新进展。采用与N5几乎近相同设计法则的4nm加强版在性能、功耗和集体管密度上均进一步提升,通过逻辑的光学微缩、标准单元库的改进和设计规则的推动,N4的晶体管密度较N5提升6%。台积电还声称,N4自2020年技术研讨会上宣布以来进展顺利,预计2021年第三季度风险量产。

3nm方面,依靠业经验证的FinFET晶体管架构,得以实现最佳性能、功耗和成本效益,与N5相比,台积电N3性能提升15%、功耗降低30%、逻辑密度增加70%,有望在2022年下半年开始量产,同时成为世界上最先进的芯片制造技术。

拥有庞大市场的手机SoC制程的更新换代已不足为奇,如今5nm已经成为旗舰手机的标配,随着台积电3nm开始量产,可以预测各家手机厂商的旗舰手机SoC也将更新至3nm。不过射频芯片没有像手机SoC制程一样频繁升级,依然使用16nm左右制程,但这一局面可能会在未来有所改变。

与4G相比,5G智能手机需要更大的芯片面积、消耗更多的电量才能提供更高的无线传输速率,支持5G的芯片集成很多功能和组件,尺寸变大且与电池竞争空间。因此,本次研讨会上,台积电首次推出N6RF工艺,将其先进的逻辑工艺的功耗、性能和面积优势带到5G射频(RF)和WiFi 6、WiFi 6E解决方案中,预计N6RF晶体管性能将比上一代16nm射频技术高出16%以上。

此外,台积电还称,N6RF支持低于6GHz和毫米波频段的5G射频收发器,降低功耗和面积,且不会影响为消费者提供的性能、功能和电池寿命台积电N6RF还将增强WiFi 6/6E的性能和电源效率。

持续扩展3DFabric先进封装

台积电还公布了其在先进封装方面的最新进展。

在高性能计算应用领域,台积电将在2021年为其InFO_oS 和 CoWoS封装解决方案提供更大的光罩尺寸,从而为小芯片和高带宽内存集成提供更大的二维平面。此外,台积电的SoIC-CoW预计今年完成N7对N7的验证,并将于2022年在全新的全自动化晶圆厂中开始生产。

在移动应用领域,台积电推出InFO_B解决方案,制造将强大的移动处理器集成在薄而紧凑的封装中,性能增强、电源效率变高,并支持移动设备制造商在凤装饰的DEAM堆叠。

值得注意的是,在同期举行的Computex大会上,AMD展示了其3D小芯片的首个应用,并称通过与台积电的密切合作,其3D小芯片技术比当前的3D封装解决方案耗能更少,堆叠更灵活。AMD同时表示,有望在2021年底之前开始生产具有3D小芯片的高端计算产品。

z注,文中图片源自台积电

本文参考连接:雷锋网雷锋网雷锋网

https://www.anandtech.com/show/16732/tsmc-manufacturing-update

https://pr.tsmc.com/english/news/2831

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/WvpN1sGDAxsDbNDc.html#comments Wed, 02 Jun 2021 18:19:00 +0800
三巨头3nm/2nm“大乱斗” //www.xyschoolife.com/category/manufacturing/rSArGEHM3AijxCnE.html 几家芯片制造商和无晶圆厂设计公司正在芯片工艺制程上互相竞争,开发 3nm和2nm的下一个逻辑节点工艺与芯片,但将这些技术投入批量生产既昂贵又困难。

巨头之间的竞争提出新的问题,这些新节点投入量产究竟需要多长时间,为什么需要这些新的节点工艺。

迁移到下一个节点确实可以提升性能并减少功耗和面积(PPA),但这已不再是实现PPA的唯一方法。实际上,缩小特性对PPA的好处可能不如最小化系统间的数据移动多。由于设备是为特定应用而设计的,因此需要考虑的因素有许多,例如不同类型的高级封装、硬件和软件更紧密集成以及处理不同数据类型和功能的混合处理元件。

随着越来越多的设备连接在一起,越来越多可用程序的出现,我们看到数据呈指数级增长,还看到了完全不同的工作负载,随着数据和不同使用模型的不断发展,可以预计未来的工作负载会有更多变化。英特尔副总裁设计支持部总经理加里·巴顿(Gary Patton)在SEMI最近召开的先进半导体制造大会的一次主题演讲中表示:“这种数据演进正在推动硬件革命,对计算的需要也与以往不同。技术节点向前演进是绝对的,但这还不够,我们还需要解决系统级的异构集成,工艺技术设计的协同优化、软硬件之间的优化,更重要地,需要持续推动人工智能和新的计算技术。”

因此,尽管晶体管水平性能仍然是一个重要因素,但在从前沿来看,它只是众多因素中的一个,不过至少在可预见的未来里,这依然是最大的芯片制造商不愿意放弃或让步的竞争。三星最近披露了关于其即将推出3nm工艺的更多细节,该工艺基于下一代晶体管类型,称为全栅极(GAA)FET。本月,IBM开发了一种基于GAA-FET的2nm芯片。另外,台积电正在开发3nm和2nm,英特尔也在开发更先进的工艺,所有这些公司都在开发一种称之为纳米片FET的GAA FET,其性能优于当今的FinFET晶体管,但也更难制造、更加昂贵。

图1:平面晶体管与FinFET以及GAA FET,来源:Lam Research

预计3nm的生产将于2022年中开始,2nm的生产将在2023年或2024年之前完成,因此业界需要为这些技术做好准备。不过目前的情况令人疑惑,关于新节点和新功能的官方公告并不完全像它们看起来的那样。一方面,行业继续在不同的节点上使用传统的编号方案,但术语并没有真正反映出哪家公司领先,另一方面,芯片制造商在所谓的3nm节点上朝着不同的方向发展,并不是所有3nm技术都一样。

这样做的好处是每个新节点都是特定应用。在过去的几个工艺节点中,晶体管密度提升正在放缓,且性价比在不断下降,而且很少有公司能够负担得起仅基于最新节点的产品设计和制造能力。另一方面,开发这些工艺的成本飞涨,配备先进晶圆厂的成本也在飞速增长。如今,三星和台积电是仅有的两家能够制造7nm和5nm芯片的供应商。

此后,晶体管结构开始发生变化。三星和台积电正基于当今的FinFET生产7nm和5nm的芯片,三星将转向3nm的纳米片FET,英特尔也在开发GAA技术,台积电计划将FinFET扩展到3nm,然后在2024年左右迁移到2nm纳米片FET。

IBM也正在开发使用纳米片的芯片,但是该公司已经几年没有生产自己的芯片了,目前将其生产外包给三星。

逐渐混淆的工艺节点命名规则

近十年来集成电路行业一直试图跟上摩尔定律,力争每18到24个月芯片中的晶体管密度翻一番。晶体管就像芯片中的开关一样,由源极、漏极和栅极组成。在具体功能实现中,电子从源极流向漏极,并由栅极控制。有些芯片在同一个设备中有数十亿个晶体管。

尽管非常艰难,芯片制造商还是以18到24个月的周期推出了一种晶体管密度更高的新工艺技术,从而降低每个晶体管的成本。以这种节点节奏,芯片制造商将晶体管的规格扩展了0.7倍,从而使该行业在同等功率的情况下提供40%的性能提升和50%的面积缩减,这个公式催生了快而新且功能更丰富的芯片。

每一个节点都有一个数字标识。几年前,节点的命名基于一个关键晶体管指标,即栅极长度。“例如,基于0.5微米技术节点生产的晶体管,栅极长度就是0.5微米”,Lam Research大学项目负责人Nerissa Draeger解释说。

随着时间的推进,栅极长度缩放速度变慢,并在某些时候,它与相应的节点名称并不匹配。Draeger说:“多年来,技术节点的定义不断发展,现在被认为更像是一个世代的名字,而不是任何关键维度的衡量标准”,Draeger说。

一段时间以来,节点名称已经成为纯粹的营销名称。例如,5nm是当今最先进的工艺,但5nm的规格还没有达成一致,3nm、2nm等也是如此,当供应商对节点使用不同的定义时,就更让人困惑了。英特尔正以10nm工艺生产芯片,这大致相当于台积电和三星的7nm工艺。

多年来,供应商或多或少地遵循国际半导体技术路线图(ITRS)定义晶体管微缩规格。2015年,ITRS的工作被叫停,业界只能自己定义规格。取而代之的是,IEEE实施了设备和系统的国际路线图(IRDS),该路线图的重点是延续摩尔定律(More Moore)和超越摩尔定律(More Than Moore)。

Draeger说:“不变的是,我们期望节点扩展能够带来更好设备性能,更高的电源效率和更少的制造成本。”

这并非易事,多年来,供应商一直使用传统的平面晶体管来开发芯片,但这一结构在10年前的20nm处就已经触礁。平面晶体管仍用于28nm / 22nm及以上的芯片制造,但业界需要一种新的解决方案,这也就是为什么英特尔在2011年推出了22nm的FinFET,晶圆制造厂紧随其后推出了16nm / 14nm的FinFET。在FinFET中,电流的控制是通过在Fin的三个边的每个边上实现一个栅极来完成的。

FinFET使业界能够继续进行芯片微缩,但它们也更复杂、功能更小,导致设计成本不断攀升。根据IBS首席执行官汉德尔·琼斯(Handel Jones)的说法,设计一款“主流” 7nm芯片的成本为2.17亿美元,而设计一款28nm芯片的成本为4000万美元。在这种情况下,成本是在一项技术投产后的两年或两年以上后确定的。

7nm及以下,静态泄漏再次成为问题,功率和性能效益也开始减少。现在,性能提升在15%到20%的范围内。

在制造方面,FinFET需要更复杂的工艺、新材料和不同的设备。这反过来又会提高制造成本。”如果你把45nm和5nm进行比较,今天我们会看到晶圆成本增加了5倍。这是由于所需处理步骤增加所致”,TEL America副总裁兼副总经理本·拉特萨克(Ben Rathsack)说。

随着时间的推移,拥有生产尖端芯片资源或能够看到其价值的公司越来越少。如今,GlobalFoundries、三星、中芯国际、台积电、UMC和英特尔正在生产16nm/14nm的芯片(英特尔称之为22nm)。但只有三星和台积电有能力制造7nm和5nm的芯片。英特尔仍在开发7nm及更高版本,中芯国际也在开发7nm。

从FinFET转向纳米片

在3nm及以下时,微缩变得更加困难。开发可靠且符合规范的低功耗芯片面临一些挑战。此外,IBS的数据显示,开发主流3nm芯片设计的成本高达5.9亿美元,而5nm器件的成本为4.16亿美元。

在制造方面,代工厂的客户可以在3nm走两条不同的路,但同样要面临艰难的选择和各种权衡。

台积电计划通过缩小5nm FinFET的尺寸来将FinFET扩展到3nm,尽可能实现无缝过渡。IBS的琼斯说:“ TSMC计划在2022年第三季度为苹果公司提供3nm FinFET的量产,计划在2023年实现高性能计算。”

不过,这是一项短期策略。当鳍片宽度达到5nm(等于3nm节点)时,FinFET将接近实际极限。根据新的IDRS文件,3nm节点相当于16nm至18nm的栅极长度,45nm的栅极间距和30nm的金属间距。相比之下,5nm节点相当于18nm至20nm的栅极长度,48nm的栅极间距和32nm的金属间距。

一旦FinFET碰壁,芯片制造商将迁移到纳米片FET。三星从一开始就采用3nm的纳米片FET,根据IBS的数据,该产品定于2022年第四季度实现生产。

IBS还称,台积电计划在2024年推出2nm的纳米片FET。英特尔也在开发GAA。多家无晶圆厂设计公司正在研究3nm和2nm器件,苹果等公司计划将该技术用于下一代器件。

纳米片FET是从FinFET进化而来的。在纳米片中,来自FinFET的鳍被放在其侧面,然后被分成单独的水平片。片与片之间形成通道。第一纳米片FET将可能具有3个左右的片,用一扇门包裹着所有的薄片或通道。

纳米片在结构的四个侧面上实现了栅极,比FinFET能够更好地控制电流。Leti的高级集成工程师Sylvain Barraud说:“除了具有更好的栅极控制能力(与FinFET相比)以外,GAA堆叠的纳米片FET还具有更高的有效沟道宽度,从而提供了更高的DC性能。”

相对于FinFET,纳米片FET还具有其他优势。在FinFET中,器件的带宽被量化,这影响了设计的灵活性。在纳米片中,IC供应商有能力改变晶体管中片的宽度。例如,具有更宽薄片的纳米片提供了更多的驱动电流和性能。窄的纳米片具有较小的驱动电流,但占用的面积较小。

“宽范围的可变纳米片宽度提供了更大的设计灵活性,对于FinFET来说由于鳍片数量不连续,更加灵活的设计性是不可能的。最后,由于使用不同的功函数金属,GAA技术还提出了多种阈值电压特性”, Barraud说。

首批3nm器件开始以早期测试芯片的形式出现,在最近的一次活动中,三星披露了基于3nm纳米片技术的6T SRAM的开发。这个设备解决了一个主要问题,SRAM微缩了器件的面积,但同时也增加了位线(BL)电阻。作为响应,三星将自适应双BL和电池供电辅助电路集成到SRAM中。

三星研究员Taejoong Song在论文中说:“提出了一种全能的SRAM设计技术,该技术可以在功耗、性能和面积之外,更自由地提高SRAM容限。此外,提出了SRAM辅助方案来克服金属电阻,从而最大限度地提高了GAA器件的优势。”

同时,IBM最近展示了一种处于测试阶段的2nm芯片。该器件基于纳米片FET,可以集成多达500亿个晶体管,每个晶体管由三个纳米片组成,每个纳米片的宽度为14nm,高度为5nm。总的来说,该晶体管具有44nm的接触多晶硅间距和12nm的栅极长度。

IBM仍在研发中,目标是在2024年推出该芯片。但在任何节点上,纳米片器件在投入生产之前都面临若干挑战。IBM混合云研究副总裁Mukesh Khare说:“挑战的数量没有限制。我想说最大的挑战包括泄漏。如何降低功率?当薄板厚度为5nm且通道长度为12nm时,如何提高小尺寸的性能?如何在2nm内获得合理的RC效益?最后,芯片必须优于先前的节点。

制造纳米片FET是困难的。“在全能门纳米片/纳米线中,我们必须在看不见的结构下进行处理,而在该结构下进行测量更具挑战性。这将是一个更加困难的过渡,” Lam Research计算产品副总裁David Fried说。

在工艺流程中,纳米片FET首先在衬底上形成超晶格结构,外延工具在衬底上沉积硅锗(SiGe)和硅(Si)的交替层。

这需要极端的过程控制。“对每一对Si / SiGe的厚度和成分进行在线监测至关重要,这些参数是器件性能和量产良率的关键。” Bruker产品营销总监Lior Levin说。“

下一步是在超晶格结构中开发微小的垂直鳍片。然后,形成内垫片,形成源极/漏极,接着进行沟道释放工艺。栅极被开发出来,形成纳米片FET。

图2:堆叠纳米片FET的工艺流程。资料来源:Leti/半导体工程

晶体管微缩竞争之外,异构集成竞争同样激烈

晶体管微缩只是方程式的一部分。伴随晶体管未做竞争的持续,异构集成方面的竞争也同样激烈,许多最先进的架构不仅包含在单个制程节点上开发单片芯片,还包含许多处理元件,包括一些高度专用的元件和不同类型的存储器。

英特尔的巴顿说:“分布式计算正在推动另一个趋势,即越来越多特定领域的体系结构。我们看到的另一个趋势是从整体上分解出特定领域的体系结构,主要由人工智能驱动,并且为提高效率而量身定制。”

将复杂模块集成到一个封装中的先进封装方式正在发挥作用。“现在,封装创新开始在提高产品性能方面发挥更大的作用。”巴顿说。

“从一个节点到另一个节点的性能、功率和面积肯定涉及到更多因素,” Arm公司技术副总裁兼专家Peter Greenhalgh说:“如果世界仅依靠晶圆厂来获得全部收益,您将非常失望。Arm提供了一种LEGO设计。该乐高积木被添加到其他乐高积木中,以构建一个非常有趣的芯片。有许多昂贵的方法可以做到这一点,但也将在一定程度上实现商品化和协调化。”

向异构架构过渡的同时,还扩展了边缘范围,涵盖了从物联网设备到各种级别的服务器基础架构的所有方面,以及Google、阿里巴巴、AWS和Apple等系统公司将设计自己的硬件,以优化庞大数据中心内部特定数据流。这掀起了狂热的芯片设计活动,将定制和非定制硬件,非标准程序包以及各种方法(例如内存和近内存处理)结合在一起,这些方法过去从未获得过广泛的关注。它还着重于如何对处理进行分区,哪些组件和流程需要在微体系结构中确定优先级,以及基于特定异构设计的各种组件的最佳工艺节点是什么。

Greenhalgh说:“视频加速就是一个很好的例子。如果您是一家云服务器公司,并且要进行大量的视频解码和编码,那么您就不想在CPU上这样做。您要在其中放置视频加速器。这是一个范式转变。”

因此,存有更多不同种类的处理器元件,还有一些扩展是现有处理器核心的开发。

Synopsys的高级市场营销经理Rich Collins表示:“我们一直有能力通过添加自定义指令或连接自定义加速器扩展架构(用于ARC处理器)。现在的不同之处在于,越来越多的客户正在利用这一优势。人工智能是一个时髦的词,它意味着很多不同的东西,在这个术语后面,我们看到了很多变化,越来越多的公司在标准处理器上添加了神经网络引擎。”

这些变化不仅仅是技术上的,也需要芯片公司内部做成一些改变。从各种工程师团队的组成到公司本身的结构。

英飞凌汽车高级副总裁Shawn Slusser表示:“过去,你会发明一堆产品,将它们放在一堆数据手册中,然后人们会尝试找到它们。由于设备的复杂性和使用寿命,这种方法不再见效。现在,我们正在研究一种更像是半导体超级市场的模型。如果你想将现实世界与数字世界联系起来,那么一切都可以在同一个地方得到,包括产品、人员和专业知识。”

较大的公司一直在内部发展这种专业模式,这在苹果的M1芯片中很明显。M1是使用台积电的5nm工艺开发的,它集成了Arm V8内核、GPU、自定义微体系结构、神经引擎和图像信号处理器,所有这些都集成在一个系统级封装中。尽管该设计的性能可能不如使用标准行业基准的其他芯片那样出色,但运行Apple应用程序的性能和功耗方面的改进显而易见。

根据行业估计,截至今天已有约200家公司正在开发或已经开发出加速器芯片,其中有多少能存活还不得而知,最终走向解体是不可避免的。在边缘,汽车、安全系统、机器人、AR / VR甚至智能手机生成的数据太多,无法将所有数据发送到云端进行处理。它花费的时间太长,并且需要太多的功耗、内存和带宽。很多数据都需要进行预处理,硬件处理这些数据的优化程度越高,电池寿命就越长、功耗也就越低。

这就是为什么风险投资在过去几年中一直向硬件初创公司投入资金的原因。在接下来的12到24个月内,预计该领域投资将显著减少。

Flex Logix首席执行官Geoff Tate表示:“合理推断,随着公司进入市场并与客户互动,这一窗口将开始关闭。在接下来的12个月中,投资者将开始获得真正的数据,看看哪种架构真正获胜。在过去的几年里,谁拥有最好的PPT是关键。客户将加速视为运行神经网络模型的必要条件,对于模型来说,它将运行多快,需要多少功率以及要花费多少成本? 他们将依据这些条件选出最适合自己比赛或符合条件的模型。”

设计也在云端发生变化。在云计算中,更快的处理以及准确确定处理地点的能力可能会对能效以及数据中心的容量产生重大影响。例如,不只是将DRAM连接到一颗芯片上,DRAM还可以在许多服务器之间共享,从而使工作负载可以分布在更多计算机上。这不仅为负载平衡提供了更高的粒度,而且还提供了散热的方式,从而减少了对冷却的需求,并有助于延长服务器的使用寿命。

Rambus资深研究员、发明家史蒂文·伍(Steven Woo)说:“其中一些数据中心中有成千上万台服务器,全球有数以万计的数据中心。现在,你得想办法将它们捆绑在一起。有一些新技术即将问世。一种是DDR5,它具有更高的电源效率,更遥远一点的是Compute Express Link(CXL)。长期以来,能够放入服务器的内存量是有限的,但现在由于能够在云中执行更多工作并租用虚拟机,工作负载的范围要大得多。CXL使你能够在系统中拥有基本配置,还可以扩展可用的内存带宽和容量。因此,现在你可以突然支持比以前更大范围的工作负载。”

结论

争夺更加先进的几个制程节点的竞争仍在继续。剩下的问题是,当公司可以通过其他方式获得足够的收益时,哪些公司愿意花时间和金钱在这些节点上开发芯片。

不同市场的经济和动态正在迫使芯片制造商评估如何以最大的投资回报率来最好地应对市场机会,在某些情况下,这可能远远超出开发先进芯片的成本。实现不同目标有很多选择,到达同一目标的方法通常也不止一种。

雷锋网编译,原文作者Mark LaPedus and Ed Sperling雷锋网雷锋网

原文链接https://semiengineering.com/the-increasingly-uneven-race-to-3nm-2nm/

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/rSArGEHM3AijxCnE.html#comments Tue, 25 May 2021 21:56:00 +0800
韩国计划打造全球最大芯片制造基地,斥资4500亿美元 //www.xyschoolife.com/category/manufacturing/aQPBYxORGlNC9ak4.html 在缺芯潮的刺激下,全球各国家地区纷纷加入争夺芯片制造霸主的战争中。据彭博社本周四报道,韩国宣布了其野心勃勃的半导体发展计划,计划在未来十年内斥资约4500亿美元建设全球最大的芯片制造基地,与中国和美国共同争夺芯片制造的关键技术。

其中,韩国政府将通过减税,降低利率、放宽法规和加强基础设施减等措施来激励韩国的半导体企业。同时确保在未来十年为目标地区提供对芯片晶圆厂必不可少的水电供应。 

韩国希望通过此次计划,在2022年至2031年间帮助培养36000名芯片专家,为芯片研究和开发贡献13亿美元,并帮助半导体行业立法。

报道称,三星电子和SK海力士作为153家推动这项长达十年计划的公司成员之二,将根据韩国总统文在寅及政府制定的国家蓝图,在截止到2030年的未来十年内,在半导体研发和生产方面引领近4500亿美元的投资。

本周四,三星的芯片高管发布了关于这一计划的相关信息。三星首席执行长朴正浩表示,到2030年,三星将把其支出增加30%,海力士则承诺斥资970亿美元扩建现有设施,并计划在龙仁建设四个新工厂,投资1060亿美元。

三星和SK海力士是全球重要的存储芯片制造商,制造了全球大部分存储芯片,几乎遍及所有可以用来存储的设备。但在先进的逻辑芯片制造生产上,例如能够运行AI和数据处理等复杂的计算任务的芯片,韩国却比较滞后。反观中国台湾半导体制造商台积电,则拥有较强的逻辑芯片制造实力。

因此,三星一直将发展逻辑芯片作为自己与台积电竞争的目标,以确保能够在英伟达的GPU业务以及高通的移动处理器业务上争取到更大的份额。与此同时,海力士也宣布其进军逻辑芯片的计划。

事实上,早在2019年,三星电子就承诺到2030年,在逻辑业务上投入133万亿韩元(约合1178亿美元),这次是在原来的基础上再增加38万亿韩元(约合336亿美元),以加速先进的研究并扩大生产。

“通过增加更多的投资,Foundry的扩产将有助于推动AI、5G和自动驾驶等下一代技术为基础的整个新兴产业。”三星电子表示。

另外,三星还宣布已经在其位于韩国平泽市的工厂建设一条新的生产线P3,使用EUV光刻技术制造14nm DRAM和5nm逻辑芯片,这条被命名为P3的生产线将于2022年下半年竣工。

“整个半导体行业正面临一个分水岭,现在是制定长期战略和投资计划的时候了。”三星副董事长兼芯片业务负责人金基南表示。

 雷锋网雷锋网雷锋网

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/aQPBYxORGlNC9ak4.html#comments Thu, 13 May 2021 18:44:00 +0800
台积电或计划再在美国扩建5座晶圆厂 //www.xyschoolife.com/category/manufacturing/b76gk7TQCj14f0Op.html 据路透社5月5日报道,三位知情人士告诉路透社,世界领先的晶圆代工厂、苹果芯片的合作伙伴台积电正计划在美国亚利桑那州之外,再另外建造5个晶圆厂。 

2020年5月,该工厂最初宣布在亚利桑那州建立一座价值120亿美元的芯片工厂,该工厂显然是特朗普政府计划让全球技术供应链以及芯片制造业重新回到美国的步骤之一。目前看来,这可能不是台积电计划在美国建造的唯一项目。 

一位消息人士称,计划增加更多工厂是对美国政府要求的回应,消息人士说:“台积电内部计划建立多达六个晶圆厂”,此外未透露更多细节。

今年4月,台积电曾与其他芯片厂商的高管共同参加了白宫虚拟峰会,旨在共同寻找缓解全球芯片短缺的方法,计划花费数百亿美元来提高美国国内芯片生产水平,台积电也可能获得在美国制造更多芯片的资格。

另一消息人员表示,其设施的位置可能与当前项目非常接近,台积电表示已经确保有足够的土地用于扩展新的项目。至于修建新的晶圆厂需要花费多长时间,第三位消息人员表示,台积电已经告知供应商,该计划是在未来三年内建造这六个工厂。

台积电首席执行官魏哲家在4月的财报电话上评论了正在建设的工厂,并表示该工厂可能在2024年开始生产5nm芯片,每月量产2万块晶圆。

在电话会议中,有人提到该公司已经收购亚利桑那州的一大片土地以保证建厂的灵活性,魏哲家表示,“进一步扩展是可能的,但必须首先进行第一阶段,然后根据运营效率和成本经济以及客户的需求,来决定下一步要做什么。”

“一旦有任何官方决定,我们将相应地予以披露。”

雷锋网编译,原文链接:https://appleinsider.com/articles/21/05/04/five-more-tsmc-chip-plants-planned-in-us-expansion

雷锋网雷锋网

]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/b76gk7TQCj14f0Op.html#comments Wed, 05 May 2021 12:58:00 +0800
28nm增产竞赛,缺芯只是表面原因 //www.xyschoolife.com/category/manufacturing/xGFjLuL9mTPDn9mf.html 近几个月,晶圆代工厂相继宣布扩充产能,华虹半导体宣布建设一条工艺等级为90-65/55nm的生产线,台积电、联华电子及中芯国际也纷纷指向了28nm产能扩充。

最早是今年3月18日,中芯国际发布公告称公司与深圳市签订合作框架协议,中芯深圳将重点生产28nm及以上的集成电路和提供技术服务,最终实现每月约4万片12吋晶圆产能,预计项目投资金额23.5亿美元(折合152.75亿元人民币)。

4月份,台积电宣布斥资28.87亿美元(折合187.19亿元人民币)扩充南京台积电28nm产能,预计达到美元4万片的生产规模。紧接着,联电也召开线上会议,宣布投资约135.3亿元人民币扩充在台南科学园区的12吋厂Fab12的28nm产能。

这几家宣布扩产的代工厂,都预计将在2022年开始正常生产。十多年前开发出的28nm工艺制程,在5nm先进制程被广泛用在智能手机上的今天,依然热度不减,甚至引发各个晶圆厂之前新一轮竞争。

值得注意的是,这次28nm产能的集体扩充,与当下备受关注的缺芯潮并无太大直接联系。

代工巨头台积电的“转折点”

依然是在摩尔定律的推动下,芯片工艺制程在2010年左右发展到28nm,彼时的半导体公司受金融危机影响元气大伤,很多IDM公司或剥离制造业务或将更多的资源投资到芯片设计中,给晶圆代工厂带来更多发展空间。

在78岁高龄的张忠谋重回归台积电后,台积电在2011年成为首个量产28nm工艺制程的代工厂。当时的报道称,台积电推出的第一个版本的是低功耗28nmLP,采用传统的SiON工艺,引入了多晶硅栅和二氧化硅硝酸盐,适合低频环境。

事实上,工艺制程发展到45nm时,核心面积减少导致单位面积密度增高,漏电问题更加严重,此时传统的二氧化硅栅极介电质工艺遇到瓶颈,也就是台积电所量产的第一代28nm产品,虽然能够缩小芯片面积但并未解决高功耗的问题,因此业界普遍转向了能够降低漏电的HKMG(high-k绝缘层+金属栅极)叠层技术。

而在选择HKMG晶体管结构上,业界分成两大阵营,一家是以IBM为首的Gate-First工艺流派,其支持者还有英飞凌、NEC、GF、三星和意法半导体等芯片制造技术联盟所属成员。另一家是以Intel、台积电为代表的Gate-Last工艺流派。这两种工艺流派各自都有需要攻克的难点,前者的PMOS管门限电压难以控制,后者需要设计环节积极配合修改电路来提升管芯密度。尽管双方都宣称自己的工艺更适合HKMG晶体管,但未有实际产品出世证明谁更优越。

率先在2012年攻克了28nm HKMG制程的台积电证明了更少人看好的 Gate-Last更具潜力与优势,推出适用于高频的28nm而后继续向20nm前进。

台积电工艺节点发展历程,图片源自台积电官网

而这一次在HKMG上的选择让台积电大获全胜,营收与获利屡创新高,将彼时最大竞争对手三星、GF远远甩在身后。在迅速转向28nm的2012年,台积电在第四季度财报会上表示:公司在这一年里实现了创纪录的营收和利润,出货量相比2011年增长了30倍。

到了2013年,三星、GF以及UMC的28nm HKMG才刚刚导入量产,而台积电则利用先发优势快速抢占客户资源、占领市场,28nm出货量持续攀升,甚至占据了超过80%的细分节点市场份额。

各晶圆厂28nm及以下的量产能力,图片源自OMDIA

虽然摩尔定律指出,集成电路上可容纳的晶体管数目大约每经过18个月便会增加一倍,处理器性能每隔两年翻一倍,但并不意味着工艺节点发展到下一代时,上一代就失去存在的意义,对28nm而言更是如此。

效益最高、应用广泛的黄金28nm

台积电虽然早在2011年就实现了28nm的量产且一直在开发更加先进的工艺,但28nm却始终是台积电的核心业务,2016年营收占比26%,2017年和2018年占比23%,直到2020年,28nm的营收也依然占总营收的12.67%,仅次于7nm和16nm,需要用到EUV光刻机才能制造的5nm节点营收也只占全年收入的7.72%。

28nm能够支撑台积电核心业务近十年,主要有两个重要原因。一方面是先进制程中28nm成本效益高,往后需要FinEFT工艺的16/14nm节点,晶圆制造成本将增加至少50%,同时使用寿命比不上28nm节点,更先进的工艺成本更高,只用拥有最大市场的智能手机才能承受如此昂贵成本。

另一方面,随着28nm工艺的成熟,市场需求呈爆炸性增长,从最开始应用在手机处理器和基带上,到后来在OOT盒和智能电视等更加广泛的应用领域。

随着个人集成电路时代的到来以及物联网、5G等技术的演进,无论是用来改善手机屏幕的OLED驱动器,还是满足物联网设备的各种连接芯片,还是用在混合计算中心、无线基站以及自动驾驶汽车等专有领域的FPGA,高性能低功耗的28nm工艺都是理想的选择。

放眼于全球,根据TrendForce调查研究,2020年28nm及以上制程的产品线更加广泛,包括CMOS图像传感器、小尺寸面板驱动IC、射频元件、电视系统单芯片、WiFi及蓝牙芯片等众多需求增长,28nm订单持续爆满。

还有一个重要原因是,尽管客户更愿意使用更加成熟的工艺和更低的成本制造,但8吋晶圆厂随着设备折旧而数量大幅下降,即200mm晶圆利用率升高且产能增长缓慢,因此原本能够用更加成熟制程的电子产品也被迫往28nm迁移。

扩产28nm是共识,缺芯潮只是引爆点

晶圆代工厂们相继宣布扩产28nm,表面上看似乎与当下的缺芯潮密切相关,扩产已经发展成熟、效益最高的28nm产能,能更好更快地解决缺芯问题。

不过产能扩充往往需要较长的时间周期,这些28nm芯片至少明年才能正常生产,短时间内依然无法解决产能短缺的问题。实际上业界和市场早已对28nm做出评估,即使没有这一次缺芯潮,依然会选择扩产28nm工艺。

一位半导体行业资深人士告诉雷锋网,扩产反应了业界对28nm工艺制程的共识,未来半导体行业的整体用量依然会继续增加,包括车用、电源等方面,就整个晶圆厂目前28nm的产能,也没有特别大,中芯国际目前28nm月产能大约在8万片。

“产能迟早都要往前走,这次的缺芯潮是一个诱因,让各个晶圆厂下定决心一起往前走。”

值得注意的是,去年年底我国国务院也发布《新时期促进集成电路产业和软件产业高质量发展的若干政策》,政策显示,国家鼓励的集成电路线宽小于28纳米(含),且经营期在15年以上的集成电路生产企业或项目,第一年至第十年免征企业所得税。从某种程度上也证明了28nm的重要性。

或许28nm看上去没有5nm、2nm高端,但适用范围确实更加广泛,人人都在关注更加先进的制程支撑智能手机这块巨大的市场,但最先进的不一定适合所有,应用范围最广泛的不一定最先进。

文章参考链接:

https://omdia.tech.informa.com/OM016176/28nm-to-be-a-long-lived-node-for-semiconductor-applications-in-the-next-five-years

https://www.cnbeta.com/articles/tech/106647.htm

http://news.moore.ren/industry/75253.htm

http://pdf.dfcfw.com/pdf/H3_AP201711211053905974_01.pdf

雷锋网雷锋网雷锋网

 


]]>
晶圆代工 //www.xyschoolife.com/category/manufacturing/xGFjLuL9mTPDn9mf.html#comments Fri, 30 Apr 2021 18:47:00 +0800